Twoje PC  
Zarejestruj się na Twoje PC
TwojePC.pl | PC | Komputery, nowe technologie, recenzje, testy
M E N U
  0
 » Nowości
0
 » Archiwum
0
 » Recenzje / Testy
0
 » Board
0
 » Rejestracja
0
0
 
Szukaj @ TwojePC
 

w Newsach i na Boardzie
 
TwojePC.pl © 2001 - 2024
Czwartek 26 września 2019 
    

GlobalFoundries wdraża proces 12LP+, a TSMC w marcu uruchomi 5nm EUV


Autor: Wedelek | źródło: DigiTimes | 05:29
(10)
DigiTimes informuje, że TSMC jest na ostatniej prostej do wdrożenia litografii 5nm, i już w marcu przyszłego roku ruszy masowa produkcja pierwszych chipów wytwarzanych w nowym procesie. Tajwański koncern użyje w swojej nowej technologii zarówno obecnie wykorzystywane tranzystory FinFET, jak i całkowicie nową metodę naświetlania EUV (Extreme Ultra-Violet), co ma przełożyć się na świetne parametry przyszłych procesorów. TSMC obiecuje, że ten sam układ po przejściu z 7nm do 5nm zapewni o 15% lepszą wydajność, o 30% wyższą efektywność energetyczną i do 80% gęstsze upakowanie tranzystorów.

Postęp w dziedzinie produkcji mikroprocesorów zapowiedział też GlobalFoundries, który to koncern oferuje swoim klientom biznesowym usprawnioną litografię 12LP+. W porównaniu do wersji bez plusa oferuje ona możliwość wytwarzania o 20% wydajniejszych układów przy jednoczesnej redukcji zapotrzebowania na energię elektryczną nawet o 40%. GlobalFoundries zwraca też uwagę na poprawioną o 15% skalowalność obszarów logicznych projektowanych chipów.

 


    
K O M E N T A R Z E
    

  1. TSMC + GF (autor: Conan Barbarian | data: 26/09/19 | godz.: 08:13)
    AMD ślicznie rozegrało tę partię i obie firmy mają co robić, wręcz nie nadążają z popytem na rynku. Jest jeszcze Samsung, który też nie śpi i chce przeskoczyć Intela nie tylko technologicznie (co właściwie już zrobił), ale też w kwestii wolumenu.
    Zatem za pół roku TSMC będzie już na etapie 5nm, natomiast Intel na etapie 14nm++++++++ oraz 10nm--------. Intel potrzebuje dwóch lat, aby opanować 7nm a na ich 10nm szkoda czasu.


  2. Global ciśnie... (autor: Qjanusz | data: 26/09/19 | godz.: 09:27)
    proces jak znalazł na IO Die dla Zen3

  3. @1. (autor: pwil2 | data: 26/09/19 | godz.: 12:23)
    Pamiętaj/cie, że dzisiejsze "10nm(+)" to tak naprawdę krok wstecz do "12nm" w nomenklaturze Intela. Pierwotnie wdrażane "10nm" (ledwo dało się wyprodukować 2 rdzenie z niesprawnym iGPU) będzie wdrażane jako przyszłe "7nm".

  4. c.d. (autor: pwil2 | data: 26/09/19 | godz.: 12:25)
    https://www.semiaccurate.com/...t-it-out-the-door/

    "What Intel is not telling you, or the analysts, is that the 10nm you may get in late 2019 is not the 10nm they had intended to come out in 2015. More importantly this new process is a significant step backward from the 10nm they promised, as touted in their manufacturing day. How much of a step backwards? Several of SemiAccurate’s moles are saying it is effectively a 12nm process rather than a 10nm process, and the technical changes more than back that claim up. Don’t expect this to ever be publicly admitted to, it is still ’10nm’ and always will be even if the tech doesn’t back that name up."


  5. c.d. (autor: pwil2 | data: 26/09/19 | godz.: 12:28)
    "Intel promised 10nm in 2015 and when that didn’t occur they invented the term “hyperscaling” to make it seem like this delay was both expected and a good thing. They really didn’t seem to be expected and certainly weren’t a good thing. About a year later in their Q1/2018 earnings call, Intel partially admitted what SemiAccurate has been saying for over a year, 10nm is due in Q4/2019 best case."

  6. @pwil2 (autor: Mariosti | data: 26/09/19 | godz.: 13:44)
    Swoją drogą ciekawe jak idzie im R&D z maszynami EUV.

    Jednocześnie wnika z tego że intel przechodzi teraz już na takie samo nazewnictwo jak konkurencja, bo przy swoich 14nm narzekali że jest co najmniej równie dobry co 12nm konkurencji...


  7. 3++ (autor: Mario1978 | data: 26/09/19 | godz.: 16:57)
    Rzeczywiście te 10nm Intela powinni nazywać 12nm stosując się do polityki nazewnictwa jaką stosowali od lat.
    W poprzednim artykule zostało wyjaśnione , że przejście na ich "10nm" dało przyrost tranzystorów na tej samej powierzchni co ich 14nm z plusami na poziomie 28%.To gdzie to zakładane +275% wzrost tranzystorów na mm2 ?
    Niektóre źródła podają , że Intel ma teraz 8 maszyn EUV w tym 3 najnowsze co przynoszą o 33% wzrost efektywności wycięcia nieuszkodzonego wafla z tranzystorami.
    Samsung ma 20 sztuk i 2 najnowsze maszyny a TSMC 16 sztuk w tym także 2 najnowsze.
    O GF nic nie wiadomo ale oni pewnie przestali w to inwestować patrząc na tą wiadomość.
    Intel musi nadrobić doświadczenie w temacie używania EUV ale im brakuje do TSMC a do Samsunga są daleko z tyłu.


  8. @7... (autor: gantrithor | data: 27/09/19 | godz.: 15:14)
    ASML jest jedynym producentem na rynku highendowych maszyn litograficznych , wedle informacji pochodzacych z samego ASML moga oni rocznie wyprodukowac 30 maszyn do litografi euv wykorzystywanych w produkcji 7nm , 5nm i 3nm.
    TSMC zakupilo 18 takich maszyn za laczna kwote 2.2 miliarda dolarow.
    YMTC oraz SMIC z chin kupily maszyny za 170 milionow dolarow prawdopodobnie 2.
    Samsung sie tez zbroi na potege wiec z puli zostalo 10 maszyn dzielone miedzy intela i samsunga z czym bardzo watpliwe ze to intel dokonal zakupu , duzo wyzsze jest prawdopodobienstwo ze to samsung wykupil ostatnie 10 sztuk i przygotowuje sie na 8nm i 6nm.

    https://www.elinfor.com/...being-overjoyed-p-11016


  9. Może intel będzie zamawiał w Globalu (autor: ekspert_IT | data: 29/09/19 | godz.: 20:13)
    12nm i tak lepsze niż 14nm, a coraz bliżej zapowiadanego 10nm :D

  10. @8. (autor: pwil2 | data: 30/09/19 | godz.: 14:09)
    Intel idzie w ślady Globala i odpuszcza sobie rywalizację ;-)

    
D O D A J   K O M E N T A R Z
    

Aby dodawać komentarze, należy się wpierw zarejestrować, ewentualnie jeśli posiadasz już swoje konto, należy się zalogować.